Filter interviews by
I appeared for an interview in Feb 2025.
Prerouting is the initial phase in the routing process where potential paths for signal connections are identified.
Prerouting involves analyzing the layout to determine optimal routing paths.
It helps in minimizing congestion and ensuring signal integrity.
Tools like Cadence or Synopsys are often used for prerouting analysis.
Example: Identifying potential routes for power and ground connections before detailed routing.
The goal of Clock Tree Synthesis (CTS) is to ensure balanced clock distribution across a chip for optimal performance.
CTS minimizes clock skew, ensuring that all parts of the circuit receive the clock signal simultaneously.
It involves creating a balanced tree structure to distribute the clock signal evenly.
CTS helps in reducing power consumption by optimizing the clock network.
For example, in a large SoC, CTS ensures t...
MMMC files are used in physical design for layout representation, while PD input files contain design specifications.
MMMC stands for Multi-Mode Multi-Corner, used for representing various operating conditions in designs.
PD input files include netlists, design constraints, and technology files necessary for physical design.
Examples of PD input files are .lef (Library Exchange Format) and .lib (Library files).
MMMC files ...
Notches in design can occur due to various factors like manufacturing processes, material properties, and design constraints.
Manufacturing processes: Notches can arise from cutting or machining operations, where tools create indentations.
Material properties: Certain materials may have inherent weaknesses that lead to notch formation during stress.
Design constraints: Design specifications may require notches for fitting...
Having two clock inputs to a register can lead to timing issues, metastability, and increased complexity in design.
Timing Issues: Different clock edges can cause data to be sampled incorrectly.
Metastability: If the clocks are not synchronized, the register may enter a metastable state, leading to unpredictable outputs.
Increased Complexity: Designing for two clock domains requires careful consideration of clock domain c...
I applied via Walk-in and was interviewed in Apr 2024. There were 2 interview rounds.
All the topics related to aptitude reasoning, static timing analysis numericals, pd related questions
CTS stands for Clock Tree Synthesis. Standard cell is a basic building block in digital design. Violations during placement include timing, congestion, and spacing violations.
CTS is the process of creating a clock distribution network to ensure all sequential elements receive clock signals with minimal skew.
Standard cell is a pre-designed logic gate or flip-flop that is used as a building block in digital integrated ci...
PD stands for Physical Design, which involves the process of transforming a circuit design into a physical layout. Macro placement guidelines are rules that dictate the placement of large blocks of logic within the layout.
Physical Design (PD) involves converting a circuit design into a physical layout, considering factors like timing, power, and area.
Macro placement guidelines provide rules for placing large blocks of ...
Hold analysis is not considered during placement stage because it is primarily a timing issue and is addressed during the routing stage.
Hold analysis is a timing check that ensures data arrives at the destination flip-flop after the clock edge, without violating the setup time.
During the placement stage, the focus is on meeting the timing constraints related to setup time, while hold time violations are typically addre...
Setup violations can be fixed by adjusting timing constraints, optimizing placement, buffering critical paths, and using ECO techniques.
Adjust timing constraints to allow more slack
Optimize placement to reduce wire delays
Insert buffers on critical paths to improve timing
Use ECO techniques like gate resizing or logic restructuring
Perform detailed analysis to identify root causes of setup violations
Hold violations can be fixed by adjusting timing constraints, optimizing placement, buffering critical paths, and using advanced EDA tools.
Adjust timing constraints to allow more slack for critical paths
Optimize placement to reduce wire delays and improve timing
Insert buffers to balance delays and meet timing requirements
Use advanced EDA tools for timing analysis and optimization
Consider redesigning logic to reduce cri
Work and time problems
Age problems on aptitude
Course hold violations can be managed by adjusting timing constraints and optimizing the physical design layout.
Adjust timing constraints to reduce hold violations
Optimize physical design layout to improve timing
Use advanced EDA tools to identify and fix hold violations
Consider buffer insertion or resizing to address hold violations
Clock tree synthesis is an important stage in physical design.
Clock tree synthesis ensures proper distribution of clock signals throughout the design.
It helps in reducing clock skew and improving timing closure.
Proper clock tree synthesis is crucial for achieving high performance and low power consumption.
Examples include tools like Synopsys ICC, Cadence Innovus, and Mentor Graphics Calibre.
Static Timing Analysis (STA) is important for Physical Design (PD) to ensure that the design meets timing requirements.
STA helps in analyzing and verifying the timing of the design to meet performance goals.
It helps in identifying critical paths and optimizing them to improve overall performance.
STA is crucial for ensuring that the design operates within specified timing constraints.
It helps in detecting setup and hold...
To reduce setup time in placement stage, optimize floorplan, use advanced algorithms, minimize wirelength, and consider timing constraints.
Optimize floorplan to reduce wirelength and improve timing
Use advanced algorithms for faster and more efficient placement
Minimize wirelength to reduce delays and improve performance
Consider timing constraints to ensure setup time requirements are met
I appeared for an interview before Sep 2016.
I applied via Recruitment Consultant and was interviewed before Sep 2018. There were 4 interview rounds.
I appeared for an interview in Jul 2017.
I am a software engineer with experience in developing and maintaining software applications.
I have a strong background in programming languages such as Java, C++, and Python.
I have worked on various projects, including developing web applications and implementing software solutions.
I am skilled in problem-solving and debugging, ensuring efficient and effective software development.
I have experience in collaborating wi...
I learned valuable teamwork and problem-solving skills during my summer internship.
Developed strong collaboration skills by working with a team of software engineers to complete projects
Gained experience in troubleshooting and debugging software issues
Learned to effectively communicate and present technical concepts to both technical and non-technical stakeholders
Improved problem-solving abilities by identifying and re...
based on 4 interviews
Interview experience
based on 33 reviews
Rating in categories
Physical Design Engineer
28
salaries
| ₹2.4 L/yr - ₹10.6 L/yr |
HR Administrator
3
salaries
| ₹12 L/yr - ₹13 L/yr |
TCS
Accenture
Wipro
Cognizant