Add office photos
Employer?
Claim Account for FREE

DIGICOMM Semiconductor

3.2
based on 47 Reviews
Filter interviews by

10+ Hnb Engineers Interview Questions and Answers

Updated 5 Feb 2024

Q1. What is the setup and hold time and different techniques to fix the setup and hold time violations?

Ans.

Setup and hold time are timing constraints in digital circuits. Techniques to fix violations include adjusting clock skew, buffering signals, and optimizing routing.

  • Setup time is the minimum amount of time a data input must be stable before the clock edge for proper operation.

  • Hold time is the minimum amount of time a data input must be stable after the clock edge for proper operation.

  • Techniques to fix setup and hold time violations include adjusting clock skew, buffering sign...read more

Add your answer

Q2. What are the different techniques to minimize congestion?

Ans.

Techniques to minimize congestion include buffer insertion, wire spreading, and layer assignment.

  • Buffer insertion: Adding buffers to critical paths to improve timing and reduce congestion.

  • Wire spreading: Distributing wires evenly to reduce congestion in specific areas.

  • Layer assignment: Properly assigning different signal layers to reduce congestion on a single layer.

View 1 answer

Q3. What is useful skew, negative skew and positive skew?

Ans.

Useful skew, negative skew, and positive skew are terms used in physical design to describe timing constraints.

  • Useful skew refers to intentional delay added to certain paths to meet timing requirements.

  • Negative skew occurs when data arrives later than expected, leading to potential timing violations.

  • Positive skew occurs when data arrives earlier than expected, potentially causing setup time violations.

  • Skew can be adjusted by inserting buffers or adjusting routing paths.

  • Exampl...read more

Add your answer

Q4. How to decide floor plan is good Or bad in the design

Ans.

A good floor plan should optimize area, minimize congestion, and ensure signal integrity.

  • Optimize area utilization

  • Minimize congestion and routing complexity

  • Ensure signal integrity and minimize noise

  • Consider power and thermal constraints

  • Ensure ease of design changes and modifications

Add your answer
Discover Hnb Engineers interview dos and don'ts from real experiences

Q5. What is crosstalk and noise and how to fix it?

Ans.

Crosstalk is unwanted interference between signals on adjacent lines, while noise is random unwanted signals that can degrade performance.

  • Crosstalk occurs when signals on adjacent lines interfere with each other due to coupling effects.

  • Noise is random unwanted signals that can be caused by various sources such as electromagnetic interference or power supply fluctuations.

  • To fix crosstalk, techniques like spacing out lines, using shielded cables, and implementing signal integri...read more

View 1 answer

Q6. What are the checks your do in offer post floor plan

Ans.

Checks after floor plan in physical design engineering

  • Timing analysis to ensure timing constraints are met

  • Power analysis to ensure power constraints are met

  • Signal integrity analysis to ensure signal quality

  • Design rule check to ensure adherence to design rules

  • Physical verification to ensure layout is correct

  • Noise analysis to ensure noise constraints are met

Add your answer
Are these interview questions helpful?

Q7. What are the PD inputs and outputs

Ans.

PD inputs are design specifications and constraints, while outputs are physical layout of the design.

  • Inputs include design specifications, constraints, technology libraries, and floorplan.

  • Outputs include physical layout, placement of components, routing of wires, and design verification.

  • Example: Input - RTL design, clock frequency, power constraints. Output - GDSII layout, timing analysis report.

View 1 answer

Q8. What is clock latency, skew and jitter?

Ans.

Clock latency is the delay between the clock signal being generated and reaching the destination, skew is the variation in arrival times of the clock signal at different destinations, and jitter is the variation in the period of the clock signal.

  • Clock latency is the delay between the clock signal being generated and reaching the destination.

  • Skew is the variation in arrival times of the clock signal at different destinations.

  • Jitter is the variation in the period of the clock s...read more

Add your answer
Share interview questions and help millions of jobseekers 🌟

Q9. What is signal integrity?

Ans.

Signal integrity refers to the quality of an electrical signal as it travels through a circuit or system.

  • It involves ensuring that the signal remains clear and undistorted from the transmitter to the receiver.

  • Factors affecting signal integrity include impedance matching, noise, crosstalk, and reflections.

  • Signal integrity analysis is crucial in high-speed digital design to prevent data errors and ensure reliable communication.

  • Tools like simulation software and oscilloscopes ar...read more

Add your answer

Q10. Describe each stage of PNR flow

Ans.

PNR flow consists of synthesis, floorplanning, placement, clock tree synthesis, routing, and signoff stages.

  • Synthesis: Convert RTL code to gate-level netlist

  • Floorplanning: Define chip area, core, and I/O locations

  • Placement: Place gates in specific locations to meet timing constraints

  • Clock tree synthesis: Create clock distribution network

  • Routing: Connect gates with wires while considering timing and congestion

  • Signoff: Verify design meets all requirements before tapeout

Add your answer

Q11. 1. What is CMOS? 2. What is latch? 3. What is the difference between latch and flip flops? 4. How to make a metastable system stable? 5. V-I characteristics of MOSFET? 6. What is pinch-off? 7. What is dynamic m...

read more
Ans.

A technical interview for Engineer Trainee covering topics such as CMOS, latch, flip flops, MOSFET, and dynamic memory.

  • CMOS stands for Complementary Metal-Oxide-Semiconductor and is a type of technology used in microprocessors and digital logic circuits.

  • A latch is a type of digital circuit that can store one bit of information.

  • The main difference between a latch and a flip flop is that a flip flop has a clock input and can store information for a longer period of time.

  • To make...read more

Add your answer
Contribute & help others!
Write a review
Share interview
Contribute salary
Add office photos
Interview Tips & Stories
Ace your next interview with expert advice and inspiring stories

Top Interview Questions from Similar Companies

3.7
 • 380 Interview Questions
3.6
 • 377 Interview Questions
4.1
 • 361 Interview Questions
4.1
 • 265 Interview Questions
3.4
 • 250 Interview Questions
4.2
 • 136 Interview Questions
View all
Top DIGICOMM Semiconductor Interview Questions And Answers
Share an Interview
Stay ahead in your career. Get AmbitionBox app
qr-code
Helping over 1 Crore job seekers every month in choosing their right fit company
70 Lakh+

Reviews

5 Lakh+

Interviews

4 Crore+

Salaries

1 Cr+

Users/Month

Contribute to help millions
Get AmbitionBox app

Made with ❤️ in India. Trademarks belong to their respective owners. All rights reserved © 2024 Info Edge (India) Ltd.

Follow us
  • Youtube
  • Instagram
  • LinkedIn
  • Facebook
  • Twitter