Upload Button Icon Add office photos
filter salaries All Filters

7 Tecquire Solutions Jobs

DFT Engineer - ATPG/MBIST (3-5 yrs)

3-5 years

DFT Engineer - ATPG/MBIST (3-5 yrs)

Tecquire Solutions

posted 2d ago

Job Role Insights

Flexible timing

Job Description

About the Job :

We are looking for a talented and detail-oriented DFT Engineer with a minimum of 3 years of hands-on experience in Design for Testability. The ideal candidate will possess a strong technical background in DFT methodologies, including ATPG, MBIST, Scan Insertion, and Silicon Debugging, along with excellent scripting and debugging skills.

Key Responsibilities :

DFT Implementation :

- Develop and implement DFT architectures and methodologies for complex SoCs and ASICs.

- Perform Automatic Test Pattern Generation (ATPG), Memory Built-In Self-Test (MBIST), and Scan Insertion processes.

- Generate, simulate, and verify ATPG, MBIST, and LBIST (Logic Built-In Self-Test) patterns to ensure robust test coverage and design integrity.

Pattern Porting & Verification :

- Understand the requirements for pattern porting from block level to top level and execute them efficiently.

- Collaborate with design and verification teams to ensure seamless integration of DFT features across various design hierarchies.

DFT Architecture & ICL Network :

- Develop a thorough understanding of DFT architecture, including scan chains, boundary scan (JTAG), and BIST techniques.

- Apply knowledge of ICL (Interconnect Logic) network design and its impact on testability and design performance.

Timing & STA Constraints :

- Work with timing analysis teams to define and validate Static Timing Analysis (STA) constraints related to DFT modes.

- Ensure timing closure and resolve any STA violations in test modes.

Silicon Debugging & Validation :

- Participate in post-silicon bring-up and debug activities, analyzing test results, identifying failures, and providing solutions.

- Leverage silicon debug tools and methodologies to improve test coverage and reduce test time.

Required Skills and Experience :

- 3+ years of hands-on experience in DFT with a strong emphasis on debugging and scripting.

- Proficient in ATPG, MBIST, Scan Insertion, and pattern simulation/verification techniques.

- Strong understanding of DFT architecture, ICL network, and STA constraints.

- Experience with Silicon Debug and bring-up processes (preferred).

- Proficient in scripting languages such as TCL, Perl, Python, or similar, to automate design and verification tasks.

- Familiarity with industry-standard EDA tools for DFT (e.g., Synopsys, Mentor Graphics, Cadence).

- Excellent problem-solving skills and the ability to work effectively in a collaborative team environment.


Functional Areas: Other

Read full job description

Prepare for DFT Engineer roles with real interview advice

What people at Tecquire Solutions are saying

DFT Engineer salary at Tecquire Solutions

reported by 4 employees with 1-2 years exp.
₹5 L/yr - ₹7 L/yr
32% less than the average DFT Engineer Salary in India
View more details

What Tecquire Solutions employees are saying about work life

based on 4 employees
75%
100%
100%
Flexible timing
Monday to Friday
Day Shift
View more insights

Tecquire Solutions Benefits

Free Transport
Child care
Gymnasium
Cafeteria
Work From Home
Free Food +6 more
View more benefits

Compare Tecquire Solutions with

Infosys

3.6
Compare

TCS

3.7
Compare

Wipro

3.7
Compare

HCLTech

3.5
Compare

Tech Mahindra

3.5
Compare

LTIMindtree

3.8
Compare

Mphasis

3.4
Compare

Hexaware Technologies

3.5
Compare

Persistent Systems

3.5
Compare

Accenture

3.8
Compare

Cognizant

3.7
Compare

Capgemini

3.7
Compare

HDFC Bank

3.9
Compare

ICICI Bank

4.0
Compare

Genpact

3.8
Compare

Teleperformance

3.9
Compare

Concentrix Corporation

3.8
Compare

Axis Bank

3.8
Compare

Amazon

4.1
Compare

Jio

3.9
Compare

Similar Jobs for you

Dft Design Engineer at Sykatiya Technologies Pvt Ltd

3-7 Yrs

₹ 12-18 LPA

DFT Engineer at M Systems

5-12 Yrs

₹ 8-40 LPA

DFT Engineer at M Systems

5-12 Yrs

₹ 8-40 LPA

Senior Dft Engineer at Tessolve

4-7 Yrs

₹ 16-36 LPA

Physical Design Engineer at THRIVEFORCE PRIVATE LIMITED

3-11 Yrs

₹ 9-62 LPA

Engineer at Juntran Technologies Pvt Ltd

3-5 Yrs

₹ 12-15 LPA

Product Engineer at Cadence

4-7 Yrs

₹ 12-22 LPA

Sta Engineer at M Systems

5-14 Yrs

₹ 8-50 LPA

Sta Engineer at M Systems

5-14 Yrs

₹ 8-50 LPA

Asic Design Engineer at Perfect Job Accord

5-8 Yrs

₹ 15-22 LPA

DFT Engineer - ATPG/MBIST (3-5 yrs)

3-5 Yrs

2d ago·via hirist.com

DFT Lead

7-12 Yrs

New Delhi

2mon ago·via naukri.com

PD Engineer

1-4 Yrs

New Delhi

2mon ago·via naukri.com

DFT Engineer

1-4 Yrs

New Delhi

2mon ago·via naukri.com

Program Manager

5-10 Yrs

New Delhi

2mon ago·via naukri.com

Senior DFT Engineer

4-9 Yrs

New Delhi

2mon ago·via naukri.com
write
Share an Interview