Upload Button Icon Add office photos

Infineon Technologies

Compare button icon Compare button icon Compare
filter salaries All Filters

15 Infineon Technologies Staff Engineer Jobs

Staff Engineer

4-11 years

Ahmedabad

1 vacancy

Staff Engineer

Infineon Technologies

posted 20hr ago

Job Role Insights

Flexible timing

Job Description

To work as a Frontend Lead and taking care of Synthesis, LEC, CLP and Power Analysis for complex SoC projects.

Job Description
In your new role you will:


  • Implement high-performance, low-power, and area-efficient digital designs.
  • Write and implement block level and top-level constraints for Synthesis, Static Timing Analysis.
  • Optimize designs for power, performance, and area, and meet PPA goals.
  • Power analysis using PT- PX or equivalent flow.
  • Logic Equivalence Check (LEC) and Low Power Checks (CLP) at block and SoC level designs.
  • Define and evaluate constraints and signoff Test/DFT mode timing requirements.


Your Profile
You are best equipped for this task if you have:

  • Strong fundamentals and experience in Synthesis and STA domains.
  • Write and implement block level and top-level timing constraints for Synthesis
  • Optimize designs for power, performance, and area, and meet design goals.
  • Knowledge on Power analysis and PT-PX flow.
  • Understanding of DFT flows, including scan insertion.
  • Write and evaluate Test/DFT mode timing constraints.
  • Thorough with Logic Equivalence Check debug capability.
  • Well known about UPF concepts and Low Power Checks at block and full chip level.
  • Defining and verification of STA constraint for Functional and T est/SCAN Modes.
  • Defining PVT s corners required for covering all desired scenarios for a design
  • Knowledge on OCV/AOCV/POCV derates.
  • Understanding of Prime-Time and TEMPUS tools, which helps in quick debugging of design/timing issues.
  • VASTA timing closure based on chip IR drop.
  • Knowledge on signal SI analysis and PT-PX flow.
.


Contact:
Swati.Gupta@infineon.com

#WeAreIn for driving decarbonization and digitalization.
As a global leader in semiconductor solutions in power systems and IoT, Infineon enables game-changing solutions for green and efficient energy, clean and safe mobility, as well as smart and secure IoT. Together, we drive innovation and customer success, while caring for our people and empowering them to reach ambitious goals. Be a part of making life easier, safer and greener.
Are you in?

We are on a journey to create the best Infineon for everyone.
This means we embrace diversity and inclusion and welcome everyone for who they are. At Infineon, we offer a working environment characterized by trust, openness, respect and tolerance and are committed to give all applicants and employees equal opportunities. We base our recruiting decisions on the applicant s experience and skills.
Please let your recruiter know if they need to pay special attention to something in order to enable your participation in the interview process.
Click here for more information about Diversity & Inclusion at Infineon.


Employment Type: Full Time, Permanent

Read full job description

Prepare for Staff Engineer roles with real interview advice

What Staff Engineer at Infineon Technologies are saying

3.8
 Rating based on 16 Staff Engineer reviews

Likes

Work life balance

  • Skill development - Good
  • +1 more
Dislikes

1. Management decisions

  • Job security - Poor
Read 16 Staff Engineer reviews

Staff Engineer salary at Infineon Technologies

reported by 140 employees with 4-11 years exp.
₹15 L/yr - ₹38 L/yr
15% less than the average Staff Engineer Salary in India
View more details

What Infineon Technologies employees are saying about work life

based on 210 employees
86%
96%
69%
100%
Flexible timing
Monday to Friday
No travel
Day Shift
View more insights

Infineon Technologies Benefits

Cafeteria
Team Outings
Work From Home
Health Insurance
Free Food
Soft Skill Training +6 more
View more benefits

Compare Infineon Technologies with

Texas Instruments

4.1
Compare

Analog Devices

4.0
Compare

STMicroelectronics

4.1
Compare

NXP Semiconductors

3.7
Compare

Microchip Technology

3.9
Compare

ON Semiconductor

3.7
Compare

Renesas Electronics India

3.2
Compare

Maxim Integrated

4.4
Compare

Xilinx

4.2
Compare

Intel

4.2
Compare

Qualcomm

3.8
Compare

Apar Industries

4.1
Compare

TDK India Private Limited

3.9
Compare

Molex

3.9
Compare

Applied Materials

3.9
Compare

Micron Technology

3.7
Compare

Carl Zeiss

3.6
Compare

Broadcom

3.4
Compare

Synopsys

3.9
Compare

Cadence Design Systems

4.1
Compare

Similar Jobs for you

Staff Engineer at Infineon Technologies Pvt Ltd

Ahmedabad

4-11 Yrs

₹ 27.5-31 LPA

Infrastructure Engineer at Infineon Technologies Pvt Ltd

Bangalore / Bengaluru

7-11 Yrs

₹ 20-25 LPA

Design Engineer at Infineon Technologies Pvt Ltd

Bangalore / Bengaluru

4-6 Yrs

₹ 30-33 LPA

Design Engineer at Advanced Micro Devices, Inc

Hyderabad / Secunderabad

6-11 Yrs

₹ 25-27.5 LPA

Lead Design Engineer at Cadence Design Systems

Bangalore / Bengaluru

3-8 Yrs

₹ 25-30 LPA

DFT Engineer at NVIDIA

Bangalore / Bengaluru

5-10 Yrs

₹ 25-30 LPA

Design Engineer at Advanced Micro Devices, Inc

Hyderabad / Secunderabad

10-15 Yrs

₹ 35-40 LPA

Test Engineering Engineer at Infineon Technologies Pvt Ltd

Bangalore / Bengaluru

7-10 Yrs

₹ 17-22 LPA

Sta Engineer at Extendo Technologies Pvt Ltd

6-11 Yrs

₹ 25-30 LPA

Analytics Engineer at Coders Brain Technology Private Limited

7-10 Yrs

₹ 20-24 LPA

Staff Engineer

4-11 Yrs

Ahmedabad

20hr ago·via naukri.com

Staff Engineer

4-11 Yrs

Ahmedabad

20hr ago·via naukri.com

Staff Engineer

4-11 Yrs

Bangalore / Bengaluru

20hr ago·via naukri.com

Staff Engineer

4-11 Yrs

Bangalore / Bengaluru

20hr ago·via naukri.com

Staff Engineer DevOPs

5-9 Yrs

Bangalore / Bengaluru

20hr ago·via naukri.com

Staff Engineer Digital Functional Verification

5-8 Yrs

Bangalore / Bengaluru

20hr ago·via naukri.com

Staff Engineer Verification

5-7 Yrs

Bangalore / Bengaluru

20hr ago·via naukri.com

Staff Engineer Functional Verification

5-8 Yrs

Bangalore / Bengaluru

20hr ago·via naukri.com

Staff Engineer Verification

4-8 Yrs

Bangalore / Bengaluru

20hr ago·via naukri.com

Staff Engineer verification

4-8 Yrs

Bangalore / Bengaluru

2d ago·via naukri.com
write
Share an Interview