Physical Design Engineer
70+ Physical Design Engineer Interview Questions and Answers
Q1. What are the conditions for an RC circuit to work as an integrator/differentiator Can you derive it with this circuit
RC circuit works as integrator/differentiator under certain conditions. Can be derived with circuit analysis.
For an RC circuit to work as an integrator, the time constant (RC) should be large enough compared to the input signal frequency.
For an RC circuit to work as a differentiator, the time constant (RC) should be small enough compared to the input signal frequency.
The output voltage of an RC integrator circuit is proportional to the integral of the input voltage.
The output...read more
Q2. What are second order effects in CMOS. Can you explain each one?
Second order effects in CMOS and their explanation
Second order effects are non-linear effects that occur in CMOS devices
Some examples include channel length modulation, body effect, and drain-induced barrier lowering
Channel length modulation is the change in effective channel length due to the variation in drain-source voltage
Body effect is the change in threshold voltage due to the variation in substrate voltage
Drain-induced barrier lowering is the reduction in the potential...read more
Physical Design Engineer Interview Questions and Answers for Freshers
Q3. Can a draw a basic transistor amplifier and explain
A transistor amplifier is a circuit that uses a transistor to amplify the input signal.
A transistor amplifier consists of a transistor, a power supply, and input and output signals.
The transistor acts as a switch, controlling the flow of current through the circuit.
The input signal is applied to the base of the transistor, and the output signal is taken from the collector.
The gain of the amplifier is determined by the ratio of the output current to the input current.
Common ty...read more
Q4. What is strong 1 and strong 0 concepts in an inverter
Strong 1 and strong 0 are the maximum voltage levels that an inverter can output for logic 1 and logic 0 respectively.
Strong 1 is the maximum voltage level that an inverter can output for logic 1.
Strong 0 is the maximum voltage level that an inverter can output for logic 0.
These concepts are important in determining the noise margin of a digital circuit.
The noise margin is the difference between the minimum voltage level that represents a logic 1 and the maximum voltage level...read more
Q5. What is an ICG? How would you use it in the design?
ICG stands for Inter-Chip Global. It is a network that connects multiple chips in a system.
ICG is used to transfer data between different chips in a system
It helps in reducing the number of wires required for communication between chips
ICG can be used in various design aspects such as clock distribution, power management, and data transfer
Example: In a multi-chip system, ICG can be used to transfer clock signals from one chip to another
Q6. Can draw n basic RC circuit for low pass filter and explain
Yes, I can draw n basic RC circuits for low pass filter and explain.
An RC circuit consists of a resistor and a capacitor in series or parallel
The cutoff frequency of the low pass filter is determined by the values of R and C
The output voltage decreases as the frequency of the input signal increases
Examples of basic RC circuits include RC low pass filter, RC high pass filter, and RC bandpass filter
Share interview questions and help millions of jobseekers 🌟
Q7. How will be the charging and discharging of Capacitor in this circuit.
The charging and discharging of capacitor in the circuit depends on the voltage and resistance of the circuit.
The capacitor charges when the voltage across it increases and discharges when the voltage decreases.
The rate of charging and discharging depends on the resistance of the circuit.
The time constant of the circuit determines the rate of charging and discharging.
The formula for time constant is T = R*C, where T is time, R is resistance, and C is capacitance.
Q8. What is load line, What is difference between dc load line to that of ac load line
Load line is a graphical representation of the relationship between voltage and current in a circuit.
DC load line represents the steady-state behavior of a circuit while AC load line represents the dynamic behavior of a circuit.
DC load line is a straight line while AC load line is a curved line.
DC load line is used to determine the operating point of a circuit while AC load line is used to analyze the small-signal behavior of a circuit.
Load line analysis is important in deter...read more
Physical Design Engineer Jobs
Q9. What you know about CMOS latch-up. Explain with help of circuitry.
CMOS latch-up is a phenomenon where a parasitic thyristor is formed in a CMOS circuit, causing it to malfunction.
CMOS latch-up occurs when a parasitic thyristor is formed between the power supply and ground in a CMOS circuit.
This can happen when the voltage at the input or output pins exceeds the power supply voltage.
To prevent latch-up, designers use guard rings, substrate contacts, and other techniques to prevent the formation of parasitic thyristors.
Latch-up can be visuali...read more
Q10. What is the setup and hold time and different techniques to fix the setup and hold time violations?
Setup and hold time are timing constraints in digital circuits. Techniques to fix violations include adjusting clock skew, buffering signals, and optimizing routing.
Setup time is the minimum amount of time a data input must be stable before the clock edge for proper operation.
Hold time is the minimum amount of time a data input must be stable after the clock edge for proper operation.
Techniques to fix setup and hold time violations include adjusting clock skew, buffering sign...read more
Q11. Can you explain 5 level of working of an Inverter
An inverter has 5 levels of working: input, pre-driver, driver, output, and load.
Input stage receives the input signal and converts it to a digital signal.
Pre-driver stage amplifies the digital signal and sends it to the driver stage.
Driver stage amplifies the signal further and sends it to the output stage.
Output stage converts the amplified signal back to analog form.
Load stage receives the analog signal and drives the load.
Q12. How does the current equation changes when second order effects taken in account
The current equation becomes more complex and includes additional terms when second order effects are considered.
Second order effects refer to non-linearities in the system that affect the current equation.
These effects can include things like parasitic capacitance, inductance, and resistance.
When second order effects are taken into account, the current equation may include additional terms such as higher order derivatives.
These additional terms make the equation more complex...read more
Q13. What is Q point, how does voltage divider bias fix Q point
Q point is the operating point of a transistor. Voltage divider bias fixes Q point by setting the base voltage to a desired level.
Q point is the DC bias point of a transistor.
It is the point where the transistor operates in the active region.
Voltage divider bias sets the base voltage to a desired level, which in turn sets the Q point.
This ensures that the transistor operates in the desired region and provides the required gain.
If the Q point is not set properly, the transisto...read more
Q14. What is the difference between small signal analysis to that for large signal anaysis
Small signal analysis is linear and deals with small variations around an operating point, while large signal analysis is nonlinear and deals with large variations.
Small signal analysis assumes that the circuit is linear and that the input signal is small enough to not affect the operating point of the circuit.
Large signal analysis deals with nonlinear circuits and assumes that the input signal is large enough to affect the operating point of the circuit.
Small signal analysis...read more
Q15. What you know about layout designing, which tool you have worked with
Layout designing involves creating a physical representation of a circuit using CAD tools.
Layout designing is a crucial step in the physical design process of integrated circuits.
It involves placing and routing the components of a circuit to meet design specifications.
CAD tools commonly used for layout designing include Cadence Virtuoso, Synopsys IC Compiler, and Mentor Graphics Calibre.
Layout designers must consider factors such as power consumption, signal integrity, and ma...read more
Q16. How to catch a missing tie cell issue using calibre ?
To catch a missing tie cell issue using calibre, run DRC check with appropriate rule deck.
Create a rule deck with tie cell rules
Run DRC check using the rule deck
Check the DRC report for any missing tie cell violations
Fix the violations and re-run DRC check
Repeat until all violations are fixed
Q17. Why we prefer voltage divider bias circuit over others.
Voltage divider bias circuit is preferred due to its stability and low sensitivity to temperature variations.
Provides stable bias voltage
Low sensitivity to temperature variations
Simple and easy to implement
Suitable for low power applications
Reduces noise and distortion
Examples: BJT amplifier circuits, op-amp circuits
Q18. Can you draw the waveform for charging and discharging current.
Yes, I can draw the waveform for charging and discharging current.
The waveform for charging current is a rising slope from zero to the maximum current value, followed by a plateau at the maximum value until the battery is fully charged.
The waveform for discharging current is a falling slope from the maximum current value to zero, followed by a plateau at zero until the battery is fully discharged.
The charging and discharging waveforms can be represented graphically using a vo...read more
Q19. Can you draw a CMOS inverter and explain
A CMOS inverter is a digital logic gate that converts a digital input signal to its complement.
It consists of a PMOS transistor and an NMOS transistor connected in series.
The input signal is connected to the gates of both transistors.
The output is taken from the drain of the PMOS transistor and the drain of the NMOS transistor.
When the input is high, the PMOS transistor is off and the NMOS transistor is on, resulting in a low output.
When the input is low, the PMOS transistor ...read more
Q20. How will you fix setup and hold time when both are violating at the same time.
Fixing setup and hold time violations simultaneously requires adjusting clock timing and/or data path delays.
Identify the critical path causing the violations
Adjust the clock timing to meet setup and hold requirements
Adjust the data path delays to meet setup and hold requirements
Use tools like static timing analysis and delay calculation to determine necessary adjustments
Iteratively adjust timing and delays until violations are resolved
Q21. What are the different techniques to minimize congestion?
Techniques to minimize congestion include buffer insertion, wire spreading, and layer assignment.
Buffer insertion: Adding buffers to critical paths to improve timing and reduce congestion.
Wire spreading: Distributing wires evenly to reduce congestion in specific areas.
Layer assignment: Properly assigning different signal layers to reduce congestion on a single layer.
Q22. What is virtual ground concept in an op-amp
Virtual ground is a concept where the non-inverting input of an op-amp is grounded to create a reference point for the inverting input.
Virtual ground is created by connecting the non-inverting input of an op-amp to ground.
This creates a reference point for the inverting input, which can be used to amplify the difference between the two inputs.
Virtual ground is commonly used in amplifier circuits and filters.
Examples of circuits that use virtual ground include inverting and no...read more
Q23. What you know about stabilization concept in an amplifier
Stabilization concept in an amplifier refers to the techniques used to prevent oscillations and ensure stable operation.
Stabilization is achieved by adding feedback components to the amplifier circuit
The feedback components can include resistors, capacitors, and inductors
Negative feedback is commonly used to stabilize amplifiers
Positive feedback can cause instability and oscillations
Stabilization techniques vary depending on the type of amplifier and its application
Examples o...read more
Q24. What is useful skew, negative skew and positive skew?
Useful skew, negative skew, and positive skew are terms used in physical design to describe timing constraints.
Useful skew refers to intentional delay added to certain paths to meet timing requirements.
Negative skew occurs when data arrives later than expected, leading to potential timing violations.
Positive skew occurs when data arrives earlier than expected, potentially causing setup time violations.
Skew can be adjusted by inserting buffers or adjusting routing paths.
Exampl...read more
Q25. How to decide floor plan is good Or bad in the design
A good floor plan should optimize area, minimize congestion, and ensure signal integrity.
Optimize area utilization
Minimize congestion and routing complexity
Ensure signal integrity and minimize noise
Consider power and thermal constraints
Ensure ease of design changes and modifications
Q26. How can we avoid latch up in a CMOS circuit
Latch up in CMOS circuits can be avoided by implementing proper layout techniques and using guard rings.
Implement proper layout techniques
Use guard rings
Avoid asymmetric layout
Minimize substrate resistance
Use low-resistance substrate material
Avoid high substrate doping levels
Use ESD protection devices
Avoid high voltage gradients
Use proper power supply sequencing
Q27. How good are in programming. Rate out of 10
I rate myself 8 out of 10 in programming.
I have experience in programming languages such as C++, Python, and Verilog.
I have developed scripts to automate tasks and improve efficiency.
I am constantly learning and improving my programming skills.
I have successfully completed several programming projects.
I am comfortable working with complex algorithms and data structures.
Q28. Draw cross sectional view an NMOS and explain its electrons flow level working
An NMOS cross-sectional view and electron flow level working explanation.
NMOS stands for n-channel metal-oxide-semiconductor.
It is a type of MOSFET (metal-oxide-semiconductor field-effect transistor).
NMOS has a source, drain, and gate terminal.
When a voltage is applied to the gate, it creates an electric field that attracts electrons from the source to the drain.
The flow of electrons from source to drain is controlled by the voltage applied to the gate.
The cross-sectional vie...read more
Q29. Why CMOS is preferred over NMOS and PMOS.
CMOS is preferred over NMOS and PMOS due to its low power consumption, high noise immunity, and compatibility with digital circuits.
CMOS consumes less power than NMOS and PMOS.
CMOS has higher noise immunity due to complementary nature of transistors.
CMOS is compatible with digital circuits due to its ability to switch between high and low states.
NMOS and PMOS have higher power consumption and are not complementary in nature.
CMOS technology is widely used in modern digital cir...read more
Q30. 1. Why are nand and not are Are called as universal gates Explain
NAND and NOR gates are called universal gates because they can be used to create any other type of logic gate.
NAND gate can be used to create AND, OR, and NOT gates by combining multiple NAND gates
NOR gate can be used to create AND, OR, and NOT gates by combining multiple NOR gates
Using De Morgan's theorem, NAND and NOR gates can be used interchangeably to implement any logic function
Q31. What is crosstalk and noise and how to fix it?
Crosstalk is unwanted interference between signals on adjacent lines, while noise is random unwanted signals that can degrade performance.
Crosstalk occurs when signals on adjacent lines interfere with each other due to coupling effects.
Noise is random unwanted signals that can be caused by various sources such as electromagnetic interference or power supply fluctuations.
To fix crosstalk, techniques like spacing out lines, using shielded cables, and implementing signal integri...read more
Q32. What are the checks your do in offer post floor plan
Checks after floor plan in physical design engineering
Timing analysis to ensure timing constraints are met
Power analysis to ensure power constraints are met
Signal integrity analysis to ensure signal quality
Design rule check to ensure adherence to design rules
Physical verification to ensure layout is correct
Noise analysis to ensure noise constraints are met
Q33. What are the PD inputs and outputs
PD inputs are design specifications and constraints, while outputs are physical layout of the design.
Inputs include design specifications, constraints, technology libraries, and floorplan.
Outputs include physical layout, placement of components, routing of wires, and design verification.
Example: Input - RTL design, clock frequency, power constraints. Output - GDSII layout, timing analysis report.
Q34. How many 2:4 muxs needed to from 3:8 mux?
To form a 3:8 mux, 7 2:4 muxs are needed.
A 2:4 mux has 2 select lines and 4 output lines.
A 3:8 mux has 3 select lines and 8 output lines.
Each select line of the 3:8 mux can be formed using a 2:4 mux.
So, 3 2:4 muxs are needed for 3 select lines.
Each output line of the 3:8 mux can be formed using 2 output lines of the 2:4 mux.
So, 8 output lines of the 3:8 mux can be formed using 2 output lines of the 2:4 mux.
Therefore, 7 2:4 muxs are needed to form a 3:8 mux.
Q35. OCV AOCV variation, multiple buffers in a path - how does it affect ?
OCV AOCV variation with multiple buffers in a path can affect timing and power consumption.
OCV (On-Chip Variation) and AOCV (Advanced OCV) variations can cause timing delays in a path with multiple buffers.
The variation in buffer sizes and types can lead to different delays in the path, impacting overall timing.
AOCV takes into account the statistical variations in process parameters, providing a more accurate estimation of timing.
Multiple buffers in a path can also affect pow...read more
Q36. Characteristics curve for NMOS, PMOS and CMOS
Characteristics curve for NMOS, PMOS and CMOS are graphs that show the relationship between current and voltage.
NMOS curve shows that current increases with voltage until it reaches saturation
PMOS curve shows that current decreases with voltage until it reaches saturation
CMOS curve is a combination of NMOS and PMOS curves
CMOS curve shows that current flows only when both NMOS and PMOS are on
The threshold voltage is the voltage at which the transistor turns on
Q37. What is clock latency, skew and jitter?
Clock latency is the delay between the clock signal being generated and reaching the destination, skew is the variation in arrival times of the clock signal at different destinations, and jitter is the variation in the period of the clock signal.
Clock latency is the delay between the clock signal being generated and reaching the destination.
Skew is the variation in arrival times of the clock signal at different destinations.
Jitter is the variation in the period of the clock s...read more
Q38. Why Digital is more Important like why we are more interested in that
Digital technology is more important due to its versatility, efficiency, scalability, and ease of integration.
Digital technology allows for easier storage, manipulation, and transmission of data.
It enables automation and optimization of processes, leading to increased efficiency.
Digital systems are highly scalable and can be easily upgraded or expanded.
Integration of digital systems with other technologies is seamless and allows for advanced functionalities.
Examples include d...read more
Q39. Floorplan strategies to calculate maximum macro counts that can be used in a block, placement constraints, congestion issues.
Floorplan strategies involve calculating maximum macro counts, considering placement constraints and addressing congestion issues.
Floorplan strategies involve determining the maximum number of macros that can be accommodated within a block.
Placement constraints refer to the rules and guidelines that dictate where macros can be placed within the block.
Congestion issues arise when there is limited space or resources available, leading to overcrowding or congestion in certain ar...read more
Q40. How will MSCTS help at SOC level CTS
MSCTS can help in achieving better clock distribution and reducing skew at SOC level CTS.
MSCTS (Multi-Source Clock Tree Synthesis) can optimize the clock tree for better skew and jitter performance.
It can also help in reducing power consumption by optimizing the clock network.
MSCTS can handle multiple clock sources and ensure proper synchronization.
It can also help in meeting timing constraints and reducing clock tree complexity.
For example, in a complex SOC design with multi...read more
Q41. Explain the operation of NAND gate using CMOS , transformer circuits etc...?
NAND gate can be implemented using CMOS technology, which involves using both NMOS and PMOS transistors in parallel.
NAND gate consists of multiple transistors connected in series and parallel to achieve the desired logic function.
In CMOS implementation, NMOS transistors are used for the pull-down network while PMOS transistors are used for the pull-up network.
When any of the inputs is low, the corresponding NMOS transistor conducts, pulling the output low.
When all inputs are ...read more
Q42. Explain the PD flow and checks at each at every stage ?
Physical Design flow involves multiple stages with various checks to ensure design quality and manufacturability.
Synthesis: Logic synthesis to convert RTL to gate-level netlist.
Floorplanning: Define chip area, placement of blocks, and power grid.
Placement: Place standard cells in the floorplan area.
Clock Tree Synthesis: Build clock distribution network for timing.
Routing: Connect the placed cells with metal layers.
Design Rule Check (DRC): Ensure layout meets manufacturing rul...read more
Q43. What is signal integrity?
Signal integrity refers to the quality of an electrical signal as it travels through a circuit or system.
It involves ensuring that the signal remains clear and undistorted from the transmitter to the receiver.
Factors affecting signal integrity include impedance matching, noise, crosstalk, and reflections.
Signal integrity analysis is crucial in high-speed digital design to prevent data errors and ensure reliable communication.
Tools like simulation software and oscilloscopes ar...read more
Q44. Describe each stage of PNR flow
PNR flow consists of synthesis, floorplanning, placement, clock tree synthesis, routing, and signoff stages.
Synthesis: Convert RTL code to gate-level netlist
Floorplanning: Define chip area, core, and I/O locations
Placement: Place gates in specific locations to meet timing constraints
Clock tree synthesis: Create clock distribution network
Routing: Connect gates with wires while considering timing and congestion
Signoff: Verify design meets all requirements before tapeout
Q45. How to reduce setup time in placement stage
To reduce setup time in placement stage, optimize floorplan, use advanced algorithms, minimize wirelength, and consider timing constraints.
Optimize floorplan to reduce wirelength and improve timing
Use advanced algorithms for faster and more efficient placement
Minimize wirelength to reduce delays and improve performance
Consider timing constraints to ensure setup time requirements are met
Q46. Metastability - how do we fix it ?
Metastability occurs when a flip-flop samples an input signal at an unstable time, leading to unpredictable output. It can be fixed by using synchronization techniques.
Use multiple stages of flip-flops to reduce the probability of metastability
Increase the setup and hold times of flip-flops to provide more time for the input signal to stabilize
Use synchronization circuits like 2-flop synchronizers to ensure proper sampling of the input signal
Q47. how to define generated clocks through edges
Generated clocks through edges are defined by specifying the source clock and the edge on which the generated clock is based.
Specify the source clock for the generated clock
Define the edge (rising/falling) on which the generated clock is based
Use tools like Synopsys Design Compiler to define generated clocks
Q48. Draw a simple timing setup and solution if violated
Timing setup and solution for violation
Timing setup: input signal, clock signal, flip-flop
Solution for violation: adjust clock skew, optimize routing
Example: setup time violation due to long routing delay
Q49. Explain CGC cell working with circuit and waveforms
CGC cell is a standard cell used in physical design with specific characteristics for circuit implementation.
CGC cell stands for Custom Gate Cell, which is a standard cell used in physical design for implementing logic functions.
CGC cells have specific characteristics like fixed height and width, predefined power and ground connections, and a set of pins for input and output signals.
When designing a circuit using CGC cells, designers place and connect these cells in a specifi...read more
Q50. Is setup and hold uncertainty values are different
Yes, setup and hold uncertainty values are different in physical design engineering.
Setup uncertainty is related to the arrival time of the data signal at the input of the flip-flop, while hold uncertainty is related to the removal time of the data signal.
Setup time is the minimum amount of time the data input must be stable before the clock edge, while hold time is the minimum amount of time the data input must be stable after the clock edge.
Setup and hold times are critical...read more
Interview Questions of Similar Designations
Interview experiences of popular companies
Calculate your in-hand salary
Confused about how your in-hand salary is calculated? Enter your annual salary (CTC) and get your in-hand salary
Reviews
Interviews
Salaries
Users/Month