Upload Button Icon Add office photos
filter salaries All Filters

5 Sauvira Solutions Jobs

Physical Design Engineer

4-9 years

Hyderabad / Secunderabad, Bangalore / Bengaluru

5 vacancies

Physical Design Engineer

Sauvira Solutions

posted 1mon ago

Job Description


Key Roles and Responsibilities:


  • Floor planning: Develop and optimize floorplans for ASIC designs, ensuring optimal placement of cores, macros, and I/O cells while considering performance and manufacturability.
  • Place & Route (P&R): Perform place-and-route tasks, optimizing for timing, power, and area, ensuring congestion-free routing and maximizing PPA (Performance, Power, Area).
  • Static Timing Analysis (STA): Carry out static timing analysis to identify violations and work on techniques for timing closure such as resizing, retiming, or re-optimization.
  • Power Analysis & Optimization: Perform power analysis, targeting low-power designs using techniques such as clock gating, power gating, and low-power state optimization.
  • Signal Integrity & Noise Analysis: Perform signal integrity analysis to avoid noise and crosstalk in the design.
  • Design Rule Check (DRC) and Layout vs. Schematic (LVS): Run DRC and LVS checks to ensure the layout adheres to manufacturing rules and matches the schematic.
  • RC Extraction: Perform parasitic extraction and analyze RC effects to ensure the design functions at the required operating frequencies.
  • Verification: Participate in the final sign-off processes for physical design and support tape-out efforts, ensuring all design specifications are met.
  • Collaboration: Work closely with design, verification, and CAD teams to troubleshoot and resolve any design-related issues.
  • Documentation: Maintain clear documentation throughout the physical design flow for ease of understanding and for future reference.

Qualifications:

  • Education: Bachelors/Masters degree in Electronics/Electrical Engineering or a relevant degree.
  • Experience:
    • Minimum 3-14 years of experience in ASIC physical design.
    • Proficiency in place and route (P&R), static timing analysis (STA), power analysis, and DRC/LVS checks.
    • Experience with tools like Cadence ,Innovus, Synopsys ,IC Compiler, or Mentor Graphics for physical design.
    • Knowledge of advanced process nodes (e.g., 7nm, 5nm, 3nm) is a plus.
  • Technical Skills:
    • Proficiency in digital design concepts and semiconductor process flows.
    • Strong knowledge of timing optimization techniques and power optimization strategies.
    • Familiarity with parasitic extraction and signal integrity analysis.
    • Ability to script in languages like Tcl, Python, or Perl to automate tasks.

Personal Attributes:

  • Strong problem-solving and analytical skills.
  • Detail-oriented, with a focus on accuracy and optimization.
  • Excellent communication and collaboration skills, capable of working in a cross-functional team.
  • Ability to manage multiple tasks in a fast-paced environment.


Perks and benefits

Based on Companies norms



Employment Type: Full Time, Permanent

Read full job description

Compare Sauvira Solutions with

Tata Electronics

4.0
Compare

Qualcomm

3.8
Compare

Intel

4.2
Compare

Apar Industries

4.1
Compare

TDK India Private Limited

3.9
Compare

Molex

3.9
Compare

Applied Materials

3.9
Compare

Exicom

4.0
Compare

Micron Technology

3.7
Compare

Carl Zeiss

3.6
Compare

Synopsys

3.8
Compare

Broadcom

3.4
Compare

Tessolve Semiconductor

3.5
Compare

Carrier Midea

3.8
Compare

Cadence Design Systems

4.1
Compare

Lam Research

3.7
Compare

NXP Semiconductors

3.7
Compare

Advanced Micro Devices

3.7
Compare

Mirafra Technologies

4.0
Compare

Infineon Technologies

3.9
Compare

Similar Jobs for you

Senior Physical Design Engineer at LeadSoc Technologies

Hyderabad / Secunderabad, Bangalore / Bengaluru

5-10 Yrs

₹ 9-19 LPA

Physical Verification Engineer at Incise Infotech

Noida

3-6 Yrs

₹ 20-35 LPA

Senior Physical Design Engineer at 7rays Semiconductors

Noida, Bangalore / Bengaluru

4-8 Yrs

₹ 6-16 LPA

Physical Design Engineer at Intel Technology India Pvt Ltd

Bangalore / Bengaluru

4-9 Yrs

₹ 12-16 LPA

Physical Design Engineer at Modernize Chip Solutions

Bangalore / Bengaluru

5-10 Yrs

₹ 15-25 LPA

Senio at Quest Global

Ahmedabad, Bangalore / Bengaluru

5-10 Yrs

₹ 18-33 LPA

Sta Engineer at Incise Infotech

Noida, Bangalore / Bengaluru

3-8 Yrs

₹ 20-35 LPA

Physical Design Engineer at Burns and Mc Donnells Engineering India

Bangalore / Bengaluru

5-10 Yrs

₹ 6-16 LPA

Asic Engineer at Cisco Systems (India) Private Limited

Bangalore / Bengaluru

5-10 Yrs

₹ 22.5-25 LPA

Physical Design Engineer at Burns and Mc Donnells Engineering India

Mumbai

5-10 Yrs

₹ 6-16 LPA

Physical Design Engineer

4-9 Yrs

Hyderabad / Secunderabad, Bangalore / Bengaluru

1mon ago·via naukri.com

Asic Design And Verification Engineer

7-10 Yrs

Hyderabad / Secunderabad, Bangalore / Bengaluru

2d ago·via naukri.com

Analog Layout Engineer

4-9 Yrs

Hyderabad / Secunderabad, Bangalore / Bengaluru

1mon ago·via naukri.com

Asic Design And Verification Engineer

4-9 Yrs

Hyderabad / Secunderabad, Bangalore / Bengaluru

1mon ago·via naukri.com

Asic Rtl Design Engineer

4-9 Yrs

Hyderabad / Secunderabad, Bangalore / Bengaluru

1mon ago·via naukri.com
write
Share an Interview