Upload Button Icon Add office photos
Engaged Employer

i

This company page is being actively managed by Coders Brain Team. If you also belong to the team, you can get access from here

Coders Brain Verified Tick

Compare button icon Compare button icon Compare
filter salaries All Filters

427 Coders Brain Jobs

Static Timing Analysis Lead - Clock Domain Crossing (10-15 yrs)

10-15 years

Static Timing Analysis Lead - Clock Domain Crossing (10-15 yrs)

Coders Brain

posted 2mon ago

Job Role Insights

Flexible timing

Job Description

Position : STA Lead (Static Timing Analysis Lead)

Experience Required : 10-15 years

Location : Bangalore / Hyderabad

Must-Have Skills : Primetime, Tempus, Static Timing Analysis (STA)

Role Overview :

As an STA Lead, you will be responsible for overseeing and leading static timing analysis for digital designs. You will work closely with design, verification, and implementation teams to ensure that timing closure is met for complex SoC designs. You will leverage tools like Primetime and Tempus for accurate timing signoff and work on all aspects of the timing flow, including clock-domain crossing (CDC), setup/hold violations, and optimizations.

Key Responsibilities :

- Lead and mentor the STA team in performing static timing analysis on complex digital designs.

- Work on end-to-end STA flow from RTL through synthesis, placement, and routing, including post-route STA sign-off.

- Ensure proper clock-domain analysis, timing closure, and validation at various stages of the design process.

- Perform timing signoff using Primetime / Tempus to ensure the design meets performance, power, and area requirements.

- Perform and analyze timing reports, identify potential issues, and suggest solutions for optimization.

- Debug and resolve timing violations such as setup, hold, recovery, and removal violations.

- Provide guidance on Clock Tree Synthesis (CTS), clock gating, power optimization, and timing optimization.

- Interact with cross-functional teams (RTL designers, synthesis engineers, place & route engineers) to resolve design timing issues.

- Validate timing across multiple corners (PVT) and ensure robustness in various environmental conditions.

- Contribute to improving STA methodology, scripts, and flows for better performance and efficiency.

- Collaborate with verification teams to ensure comprehensive coverage of timing and functional correctness.

- Provide detailed feedback and reports to senior management and clients.

Must-Have Skills :

- In-depth knowledge of Static Timing Analysis (STA) concepts and methodologies.

- Proficient in using Primetime and/or Tempus for performing timing signoff and analysis.

- Solid understanding of synthesis, place & route tools, and timing closure.

- Strong hands-on experience with timing constraint generation and optimization.

- Expertise in analyzing and resolving setup/hold violations, clock domain crossings (CDC), timing corners, and timing ECOs.

- Experience in handling complex multi-clock designs and performing clock gating/optimization.

- Strong understanding of ASIC/FPGA design flow, including RTL to GDSII.

- Proficient in scripting languages like TCL, Python, or Perl for automating STA tasks.

- Good knowledge of high-speed design, clock tree synthesis (CTS), noise analysis, and timing analysis across corners.

- Familiarity with DFT (Design for Test) and related aspects in timing analysis.

- Ability to handle large-scale designs and provide optimized solutions for timing closure.

Preferred Skills :

- Experience with Power and Signal Integrity analysis.

- Knowledge of advanced process nodes (e.g., 7nm, 5nm).

- Familiarity with Design for Manufacturability (DFM) concepts and methodologies.

- Familiarity with Synopsys DSO.ai, Cadence Innovus, and Mentor Graphics tools.

Qualifications :

- Bachelor's or Master's degree in Electrical Engineering, Electronics Engineering, or related fields.

- 10-15 years of experience in Static Timing Analysis or related roles in ASIC/SoC design.

- Prior experience leading an STA team is highly desirable.


Functional Areas: Other

Read full job description

Prepare for Analyst roles with real interview advice

What people at Coders Brain are saying

What Coders Brain employees are saying about work life

based on 45 employees
65%
70%
92%
100%
Flexible timing
Monday to Saturday
No travel
Day Shift
View more insights

Coders Brain Benefits

Work From Home
Soft Skill Training
Job Training
Education Assistance
Cafeteria
Team Outings +6 more
View more benefits

Compare Coders Brain with

Medcode

4.5
Compare

Maxgen Technologies

4.5
Compare

Cyfuture

3.0
Compare

Value Point Systems

3.6
Compare

JoulestoWatts Business Solutions

2.9
Compare

Capital Business Systems

3.6
Compare

F1 Info Solutions and Services

3.8
Compare

ARMSOFTECH.AIR

3.3
Compare

Ergode IT Services

3.4
Compare

ITSource Technologies

2.9
Compare

Saama Technologies

3.7
Compare

ANR Software Private Limited

4.4
Compare

ABM Knowledgeware

3.7
Compare

Jumio

3.7
Compare

Systems Plus

4.3
Compare

PC Solutions

3.7
Compare

DISYS

3.0
Compare

Glorious Insight

4.6
Compare

Shiash Info Solutions

3.1
Compare

Mantra Technologies

3.6
Compare

Similar Jobs for you

Physical Design Engineer at MosChip Technologies

8-20 Yrs

₹ 40-50 LPA

Lead Application Engineer at Cadence

5-8 Yrs

₹ 15-20 LPA

Design Lead at Angel and Genie

6-12 Yrs

₹ 20-38 LPA

RTL Engineer at Apolis India

4-10 Yrs

₹ 30-40 LPA

Sta Engineer at M Systems

5-14 Yrs

₹ 8-50 LPA

Sta Engineer at M Systems

5-14 Yrs

₹ 8-50 LPA

Sta Engineer at Extendo Technologies Pvt Ltd

6-11 Yrs

₹ 25-30 LPA

Physical Design Engineer at M Systems

5-15 Yrs

₹ 8-50 LPA

Physical Design Engineer at M Systems

5-15 Yrs

₹ 8-50 LPA

Technology at Sykatiya Technology Pvt Ltd

8-15 Yrs

₹ 15-50 LPA

MSBI/Power BI Developer (5-8 yrs)

5-8 Yrs

16hr ago·via hirist.com

GenAI Engineer - NLP & Python (7-10 yrs)

7-10 Yrs

16hr ago·via hirist.com

ETL Tester (7-10 yrs)

7-10 Yrs

16hr ago·via hirist.com

SFDC Tester - API Testing (10-12 yrs)

10-12 Yrs

16hr ago·via hirist.com

ETL Developer - R/Python (4-6 yrs)

4-6 Yrs

16hr ago·via hirist.com

API Architect - Logic App (9-12 yrs)

9-12 Yrs

2d ago·via hirist.com
write
Share an Interview